VHDL语言

在结构体中定义一个全局变量(VARIABLES),可以在所有进程中使用。

题目

在结构体中定义一个全局变量(VARIABLES),可以在所有进程中使用。

参考答案和解析
正确答案:错误
如果没有搜索结果,请直接 联系老师 获取答案。
更多相关问题